三星量產3nm 真領先台積電還是“趕鴨子上架”?

6月30日,正如之前外界傳聞的那樣,三星電子今天正式對外宣布,其已開始大規模生產基於3nm GAA(Gate-all-around,環繞柵極)製程工藝技術的芯片,這也使得三星搶先台積電成為了全球首家量產3nm的晶圓代工企業。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

三星量產3nm GAA製程,上海磐矽為首批客戶

2021年6月,三星就率先宣布其基於GAA技術的3nm製程成已成功流片(Tape Out)。隨後在2021年10月的“Samsung Foundry Forum 2021”活動上,三星宣布將在2022年上半年搶先台積電量產3nm GAA製程工藝。

對於在3nm量產上超越台積電,三星方面也是信心滿滿。三星Device Solution事業部技術負責人Jeong Eun-seung去年就曾表示:“三星2017年才成立晶圓代工事業部,但憑藉公司在存儲製造方面的專長,超越台積電指日可待。”

他還舉例指出,三星曾領先台積電開量產採用FinFET技術的14nm工藝。

雖然之前業界有很多關於三星3nm良率過低而導致量產遇阻的傳聞(今年年初有爆料稱,三星3nm GAA製程的良率僅10%~20%),但是三星仍然是在2022年上半年的最後一天(6月30日)正式宣布成功量產3nm GAA工藝,以兌現其之前的承諾。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

根據三星官方公布的聲明顯示,基於其第一代的3nm GAA工藝的芯片與傳統的5nm工藝芯片相比,功耗降低了45%,性能提高了23%,面積可減少16%。

不過,以上公布的數據與三星之前透露的數據(性能將提升30%,能耗降可低50%,邏輯面積效率提升超過45%)有一定程度的縮水。

需要強調的是,除了搶先量產3nm製程工藝之外,三星也是全球第一個成功將GAA技術應用到量產的3nm芯片當中的晶圓代工廠商。

雖然台積電將會在今年下半年量產3nm工藝,但是其依然是基於FinFET晶體管架構,台積電將會在2nm製程工藝上才會採用GAA技術,量產時間則將會是在2025年。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

三星晶圓代工業務主管Siyoung Choi表示:“公司將繼續在有競爭力的技術開發方面積極創新。”

中國礦機芯片廠商上海磐矽或為首批客戶

雖然三星並未公布其3nm GAA製程的客戶名單,但是根據爆料顯示,三星電子自身以及一家來自中國大陸的礦機芯片廠商——上海磐矽半導體技術有限公司將會是首批客戶。另外,三星的大客戶高通可能也下單生產3nm芯片,但會視情況投片。

資料顯示,上海磐矽半導體技術有限公司成立於2016年3月,註冊資本4500萬元人民幣,總部位於上海,是一家設計能力為28nm、16nm和10nm ASIC的高科技初創公司,專註於數字加密貨幣和Al應用的ASIC設計。

三星聯合首席執行官 Kyung Kye-hyun 今年早些時候曾表示,其代工業務將在中國尋找新客戶,預計中國市場將實現高速增長,因為從汽車製造商到家電產品製造商等公司都爭相確保產能以解決持續的全球芯片短缺問題。

是“真量產”,還是“真宣傳”?

雖然三星搶先台積電量產了3nm GAA製程工藝,但是其為了兌現今年上半年量產承諾,在上半年的最後一天6月30日才宣布量產,也讓一些分析人士認為,3nm GAA製程工藝量產是“趕鴨子上架”,宣傳意義大於實際意義。

比如台灣工研院產科國際所研究總監楊瑞臨就表示,GAA相關的蝕刻及量測問題尚待克服,材料、化學品等也需要提升,全球GAA生態系統還未完全到位,三星3nm GAA技術此時量產是“趕鴨子上架”。

ASML的新一代High-NA EUV光刻機預計最快也要2023年底才正式向客戶交付。台積電和英特爾都選擇採用High-NA EUV光刻機來生產基於GGA架構晶體管的2nm工藝。

楊瑞臨稱,雖然三星採用市場現有方案,可以做到3nm GAA技術量產,但關鍵是成本會增加、交期會拉長、良率提升速度慢、品質不見得好。在成本模型難以建立的情況下,三星難以對客戶報價,預料三星的3nm GAA技術應僅自用,不會有真正的外部的客戶。

台經院產經資料庫研究員暨總監劉佩真也表示,三星仍未實際接獲3nm訂單,今天宣布量產3nm製程,宣傳意義應大於實質意義。

而在此之前,在相同製程工藝節點上,三星的製程工藝在穩定性、良率、發熱等方面的表現確實一直都要比台積電差。此前高通就因為三星4nm代工的驍龍8的散熱問題,轉向了採用台積電4nm代工驍龍8+。

不過,台灣相關機構的立場和觀點往往都會偏向本土的台積電,看衰三星3nm GAA也屬正常。

同樣,韓國半導體分析師的觀點也往往是看好本土的三星。此前,韓國半導體分析師Greg Roh就表示三星3nm製程良率提升速度遠高於市場預期,新增客戶速度相當快。

GAA架構晶體管到底有何優勢?

傳統的平面晶體管(Planar FET)通過降低電壓來節省功耗,然而,平面晶體管的短溝道效應限制了電壓的繼續降低,而FinFET(鰭式場效應晶體管)的出現使得電壓得以再次降低,但隨着工藝的繼續推進,FinFET已經不足以滿足需求。於是,GAA(Gate-all-around,環繞柵極)技術應運而生。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

如下圖,典型的GAA形式——GAAFET是(Gate-all-around FETs)採用的是納米線溝道設計,溝道整個外輪廓都被柵極完全包裹,代表柵極對溝道的控制性更好。

相比之下,傳統的FinFET 溝道僅3 面被柵極包圍。GAAFET 架構的晶體管提供比FinFET 更好的靜電特性,可滿足某些柵極寬度的需求。這主要表現在同等尺寸結構下,GAA 的溝道控制能力強化,尺寸可以進一步微縮。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

不過,三星認為採用納米線溝道設計不僅複雜,且付出的成本可能也大於收益。因此,三星設計了一種全新的GAA形式——MBCFET(Multi-Bridge-Channel FET,多橋-通道場效應管),採用多層堆疊的納米片來替代GAAFET中的納米線。

這種納米片設計已被研究機構IMEC當作FinFET 架構後續產品進行大量研究,並由IBM 與三星和格羅方德合作發展。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

三星表示,MBCFET可以在保留所有GAAFET優點的情況下,最小化複雜度。同時,MBCFET的設計可以兼容之前的FinFET技術,可以直接將為FinFET的設計遷移到MBCFET上,在不提升面積的情況下,提升性能。

此外,在製造環節,此技術也具高度可製造性,因為其利用約90% FinFET 製造技術與設備,只需少量修改的光罩即可。

三星在去年就曾對外表示,MBCFET出色的柵極可控性,比三星原本FinFET 技術高出了31%,且納米片通道寬度可直接圖像化改變,設計更有靈活性。

三星的3nm GAA(MBCFET)工藝分為3GAAE (3nm Gate- AlI-Around Early)和3GAAP(3nm Gate- AlI-Around Plus)兩個階段。目前量產的正是3GAAE。

需要指出的是,三星基於GAA技術的3nm製程不同於台積電FinFET架構的3nm製程,所以三星要成功量產3nm GAA製程工藝,也需要新的設計和認證工具。

據了解,三星3nm GAA製程工藝採用了新思科技的Fusion Design Platform平台,來為其GAA 架構的生產流程提供高度優化參考方法。針對三星3nm GAA製程技術的物理設計套件(PDK)早在2019 年5 月就已發布,並在2020年通過了製程技術認證。

新思科技數字設計部總經理Shankar Krishnamoorthy當時曾表示,GAA 晶體管結構象徵著製程技術進步的關鍵轉換點,對保持下一波超大規模創新所需的策略至關重要。新思科技與三星戰略合作支持提供一流技術和解決方案,確保發展趨勢延續,以及為半導體產業提供機會。

台積電與英特爾將在2nm引入GAA技術

一直以來,為了追趕台積電,三星在先進製程的推進上一直都比較激進。相比之下,作為業界龍頭的台積電則一直比較穩健。

台積電在3nm製程工藝上並沒有選擇GAA架構的晶體管,而是依然採用FinFET(鰭式場效應晶體管),因為這將會為台積電帶來更好的穩定性,即可以復用之前成熟穩定的技術,同時成本可能也能夠得到更好的控制。並且給台積電預留更多的對GAA晶體管架構優化的時間。

根據台積電此前2022年台積電技術論壇上公布的數據顯示,其即將量產的依然採用FinFET晶體管架構的N3E(3nm的低成本版)製程工藝,相比前代的5mm製程工藝,性能將提升18%,功耗可降低34%,晶體管密度可提升30%。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

從這個數據來看,三星3nm GAA製程工藝的與其前代5nm製程工藝相比所帶來的性能提升幅度和功耗降低的幅度都要比台積電(3nm VS. 5nm)更高。

而且,需要指出的是,三星3nm GAA製程工藝的晶體管密度只比其前代5nm製程工藝的晶體管密度僅提升了16%(面積可減少16%)。而台積電3nm的晶體管密度相比其5nm則是提升了30%。也就是說三星3nm GAA製程工藝依靠更少的晶體管密度的提升,達到了更好的性能提升和功耗降低的幅度,顯然,這與全新的GAA架構所帶來的提升直接相關。

我們從台積電公布的其2nm GAA製程工藝與其3nm的對比數據,也同樣能夠看到GAA晶體管架構所帶來的直接提升。

台積電第一代採用納米片晶體管(Nanosheet,就是GAA)架構的N2(即2nm)製程相較於其N3E(3nm的低成本版)工藝,在相同功耗下,性能將提升10~15%;而在相同性能下,台積電2nm工藝的功耗將降低23~30%;晶體管密度僅提升了10%。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

也就是說台積電2nm GAA製程工藝僅用了10%的晶體管密度的提升,就帶來了最多15%的性能提升、最多30%的功耗的降低。已經基本達到了台積電3nm相比5nm的代際提升的幅度,而且後者還是在晶體管密度提升了30%的情況下實現的。這也再度反應了GAA晶體管架構所能夠帶來的提升。

與台積電一樣,英特爾也選擇了在Intel 3(相當於台積電3nm製程工藝)繼續採用FinFET技術,預計在2023年量產,相比Intel 4可帶來每瓦性能上約18%的提升。直到Intel 20A(相當於台積電2nm製程工藝)英特爾才會使用RibbonFET(即GAA)技術,預計將領先台積電在2024年上半年量產,但是具體的細節參數並未公布。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

在GAA專利技術布局方面,之前的一份數據顯示,2011~2020年期間,全球有31.4%的GAA專利來自台積電,20.6%來自三星。

先進製程晶圓代工市場的競爭正在加劇

一直以來,三星都希望能夠在晶圓代工業務上超越台積電,其中對於先進製程的爭奪更是成為了雙方的焦點。搶先量產更為先進的半導體製程工藝,不僅能夠體現自身的技術實力,同時也意味着三星能夠為客戶更快的生產更先進的芯片,幫助客戶更快的在市場競爭當中佔據有利的地位。這也使得三星能夠憑藉搶先量產更先進的製程工藝搶到更多的客戶。

比如在2014年底,三星就搶先台積電量產了14nm工藝,而台積電16nm在2015年年中才開始量產。製程工藝上的領先,也使得三星在當時順利拿下了蘋果iPhone 6S系列所採用的蘋果A9處理器的超過半數訂單。

但是,隨後不論是從用戶的體驗,還是專業的機構的測試都顯示,基於三星14nm工藝A9處理器的iPhone 6S在體驗與續航表現上都要弱於台積電16nm工藝A處理器的iPhone 6S。

而且在此之後,台積電在10nm-7nm-5nm的量產上均持續領先於三星,這也使得蘋果從後續的A10處理器開始全部都交由台積電獨家代工。

資料顯示,蘋果近年來一直是台積電的第一大客戶,特別是隨着蘋果M系列處理器成功,給台積電帶來的營收也進一步大幅增長。在台積電2021年總營收當中,來自蘋果的營收佔比或將達到近26%。

根據TrendForce的數據顯示,在今年一季度的晶圓代工市場,台積電的市場份額高達53.6%,而排名第二的三星的市場份額僅有16.3%,份額差距巨大。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

因此,對於三星來說,只在最先進的製程工藝技術上領先台積電,才有機會獲得蘋果這樣的頭部客戶的訂單,才有機會在晶圓代工市場獲得更高的市場份額,從而實現超越台積電的目標。

而為了實現對台積電的超越,三星在2017年成立了獨立的晶圓代工事業部,對於晶圓代工業務的重視程度也提升到了一個新高度,同時也開始持續加大投入。根據此前的資料顯示,三星計劃在2030年之前投資133萬億韓元(約合1160億美元),以期成為全球最大的半導體代工企業。

與此同時,台積電去年也宣布在未來三年累計資本開支提升到1000億美元。

在三星積極追趕台積電的同時,市場也迎來了新的“攪局者”——英特爾。

去年3月,英特爾新任CEO基辛格宣布了IDM 2.0戰略,其中關鍵的一項舉措就是重啟晶圓代工業務,英特爾還陸續宣布了龐大的產能擴張計劃,以及激進的製程工藝路線圖。

首先,在產能方面,自去年以來,英特爾陸續宣布投資200美元在美國亞利桑那州建造兩座先進製程晶圓廠、200億美元在美國俄亥俄州建造兩座先進製程晶圓廠、30億美元擴建美國俄勒岡州D1X 晶圓廠、未來10年在歐洲投資800億歐元(包括投資170億歐元在德國馬德堡建兩座先進製程晶圓廠;投資約120億歐元,將愛爾蘭萊克斯利普的晶圓廠的製造空間擴大一倍)等。

今年2月15日,英特爾還宣布以每股53美元的現金收購全球第十大晶圓代工廠——高塔半導體,交易總價值約為54億美元。英特爾稱,此收購大力推進了英特爾的IDM2.0戰略,進一步擴大英特爾的製造產能、全球布局及技術組合,以滿足前所未有的行業需求。

在先進製程工藝進展方面,去年7月,英特爾就宣布將在2024年上半年量產Intel 20A工藝,並於2025年量產Intel 18A工藝。

三星量產3nm 真領先台積電還是“趕鴨子上架”?

隨後在今年3月,摩根士丹利投資者大會上,英特爾CEO基辛格回應稱,對英特爾IDM 2.0 戰略計劃非常有信心,且目前英特爾先進製程進展皆超過預期。基辛格強調,Intel 7 製程進入量產並開始增加產能。接下來四代先進製程是由兩個團隊同時進行研發,一個是負責Intel 4 及改良版Intel 3 製程,另一個團隊負責Intel 20A 及18A 製程。根據規劃Intel 20A依舊會在2024年上半年量產,而Intel 18A 製程將提前半年在2024年下半年量產。

由於台積電和三星的2nm計劃的量產時間都是在2025年,因此,英特爾有望在2024年在先進製程工藝超越台積電和三星。

值得注意的是,去年英特爾就已宣布2024年上半年量產的Intel 20A工藝,將與高通達成合作。今年3月,基辛格也對外表示,未來最先進的工藝都會提供晶圓代工服務,其中Intel 3、Intel 18A 製程都已經找到客戶,但具體名單未透露。

根據英特爾對投資人公布的統計數據顯示,今年一季度英特爾的晶圓代工業務營收年增175%,是旗下主要業務中,成長幅度最驚人的業務,主要來自思科、亞馬遜等30多家客戶的訂單。

顯然,英特爾入局晶圓代工市場,將為本就競爭激烈的先進製程晶圓代工市場帶來了新的競爭,台積電、三星也將面臨新的挑戰。

(0)
上一篇 2022-07-01 00:05
下一篇 2022-07-01 00:05

相关推荐