Intel拿下首批第二代High-NA EUV光刻機 2nm將搶先量產

1月19日,全球光刻機巨頭ASML公布了最新的財報,其2021年第4季度及2021全年業績均創歷年新高且優於預期。ASML還宣布其2022年一季度,其第二代高數值孔徑(High-NA)光刻機TWINSCAN EXE:5200獲得了首個訂單,這也意味着這款可以被用於2nm芯片製造光刻機的有望在2024年交付。

2021年營收186億歐元,毛利率高達52.7%根據財報顯示,ASML 2021年第4季營收為49.86億歐元,凈利潤為17.74億歐元,毛利率達54.2%。新增訂單金額為70.50億歐元。

2021年全年營收達186.11億歐元(同比增長35%),凈利潤為58.83億歐元,毛利率為52.7%。2021年全年新增訂單為262.40億歐元,其中一半來自於EUV光刻機。

Intel拿下首批第二代High-NA EUV光刻機 2nm將搶先量產

溫彼得表示,ASML在2021年第四季度收到了一份TWINSCAN EXE:5000的訂單。自2018年以來,ASML已經收到四份TWINSCAN EXE:5000的訂單。

據了解,EXE:5000主要面向的是3nm工藝,而第二代的0.55 NA EUV光刻機TWINSCAN EXE:5200將會被用於2nm工藝的生產。

021年出貨了42台EUV光刻系統2021年ASML來自光刻系統方面的營收為136.53億歐元,總共銷售了287台光刻系統。

具體的銷量方面,EUV光刻系統42台,貢獻了約63億歐元,銷售額佔比高達46%;ArFi光刻系統81台,銷售額佔比36%;ArF光刻系統131台,銷售額佔比10%;i-Line光刻系統33台,銷售額佔比1%。

Intel拿下首批第二代High-NA EUV光刻機 2nm將搶先量產

從銷售的光刻系統的最終用途來看,70%被用於邏輯半導體製程,30%被用於存儲芯片的製造。

從光刻系統最終出貨地來看,中國台灣地區貢獻的銷售額佔比高達44%,韓國佔比35%,中國大陸佔比16%。

溫彼得表示:“2021年ASML的EUV出貨量增長並不高,這主要是由於我們在第三季度宣布的物流中心和供應鏈問題影響的結果。但這完全由EUV光刻機的安裝及升級等基礎收入補償了,特別是我們能夠向客戶提供的生產力升級。我們的客戶急需額外的容量,需求量很大。這部分的銷售額為15億歐元。在我們稱之為生產力提升包的推動下,安裝了大量選項,為客戶提供了額外的晶圓容量。”

Intel拿下首批第二代High-NA EUV光刻機 2nm將搶先量產

DUV 業務方面,ASML表示,XT:860N 已於 2021 年底交付給其第一個客戶。這種 KrF 系統提供了更好的性能和更低的成本。2022年,隨着 NXT:870 的引入,ASML將把 KrF 添加到 NXT 平台中,使其能夠在生產率和擁有成本方面邁出重要的一步,並在 ArFi 和 ArFDry 中構建這個平台上的現有經驗。

應用業務方面,首款 eScan1100 多束檢測系統計劃在未來幾周內交付,該系統是專為大批量生產設計的。由於採用了 25 束(5×5),預計 eScan1100 與單一電子束檢測工具相比,可增加 15 倍的吞吐量,用於目標在線缺陷檢測應用。

單價超3億美元,TWINSCAN EXE:5200已接獲首個訂單

由於EUV光刻系統中使用的極紫外光波長(13nm)相比DUV 浸入式光刻系統(193 nm)有着顯着降低,多圖案 DUV 步驟可以用單次曝光 EUV 步驟代替。可以幫助芯片製造商繼續向7nm及以下更先進製程工藝推進的同時,進一步提升效率和降低曝光成本。

自2017年ASML的第一台量產的EUV光刻機正式推出以來,三星的7nm/5nm工藝,台積電的第二代7nm工藝和5nm工藝的量產都是依賴於0.55 數值孔徑的EUV光刻機來進行生產。

目前,台積電、三星、Intel等頭部的晶圓製造廠商也正在大力投資更先進的3nm、2nm技術,以滿足高性能計算等先進芯片需求。而3/2nm工藝的實現則需要依賴於ASML新一代的高數值孔徑 (High-NA) EUV光刻機EXE:5000系列。

ASML目前正在開發當中的高數值孔徑 (high-NA) EUV光刻機是基於 0.33 數值孔徑透鏡的 EUV 光刻系統的迭代產品,其具有 0.55 數值孔徑的鏡頭,分辨率為 8 納米,而現有的0.33 數值孔徑透鏡的 EUV 光刻系統的分辨率為 13 納米,使得芯片製造商能夠生產3/2nm及以下更先進製程的芯片,並且圖形曝光的成本更低、生產效率更高。

但是,0.55 NA EUV光刻系統造價相比第一代的EUV光刻機也更高。據 KeyBanc 稱,一台0.55 NA EUV光刻系統的成本預計為3.186億美元,而目前正在出貨的EUV光刻系統則為1.534億美元。

值得注意的是,ASML總裁兼CEO溫彼得透露,在2021年第四季度,ASML獲得的價值為70.50億歐元的新增訂單當中,0.55 NA EUV光刻系統和0.55 NA EUV光刻系統的訂單金額就達到了26億歐元。

溫彼得表示,ASML在2021年第四季度收到了一份TWINSCAN EXE:5000的訂單。自2018年以來,ASML已經收到四份TWINSCAN EXE:5000的訂單。據了解,EXE:5000主要面向的是3nm工藝。而第二代的0.55 NA EUV光刻機TWINSCAN EXE:5200將會被用於2nm工藝的生產。

溫彼得透露,在2022年初,ASML已收到了下一代的TWINSCAN EXE:5200的第一份訂單,這標誌着ASML在引入 0.55 NA EUV光刻的道路上又邁出了一步。

根據ASML的路線圖,TWINSCAN EXE:5000將會在今年下半年出貨,每小時可生產185片晶圓。而TWINSCAN EXE:5000將會在2024年底出貨,每小時可廠商超過220片晶圓。

Intel拿下首批第二代High-NA EUV光刻機 2nm將搶先量產

在2021年7月底的“Intel加速創新:製程工藝和封裝技術線上發布會”上,Intel已宣布將在2024年量產20A工藝(相當於台積電2nm工藝),並透露其將率先獲得業界第一台High-NA EUV光刻機。ASML TWINSCAN EXE:5200光刻機的首份訂單正是來自於Intel。

ASML總裁兼首席技術官Martin van den表示,Intel對ASML在High-NA EUV技術的遠見和早期承諾證明了對摩爾定律的不懈追求。與目前的EUV系統相比,ASML的擴展EUV路線圖以更低的成本、時間周期和架構等方面提供了持續的改進,這將推動芯片行業未來十年發展的動力所在。

對於Intel來說,搶先獲得ASML TWINSCAN EXE:5200光刻機,也正是Intel篤定其製程工藝能夠超越台積電、三星重回領先地位的關鍵。

不過,台積電和三星此前應該也在爭奪Hight NA EUV光刻機。去年10月初,三星在10月初初已宣布,將在2022年上半年量產3nm工藝,並計劃在2025年搶先台積電量產2nm。

為此,有消息顯示,三星也在緊急搶購一台Hight NA EUV光刻機,並要求ASML直接拉到三星工廠內進行測試。

另外,台積電在此前的法說會上也對外,2025年台積電2nm製程不論是密度或是效能,都將是最領先的技術。

柏林工廠火災不會影響2022年出貨

今年1月3日,ASML位於德國柏林的一座工廠發生火災,大火在當晚被撲滅,沒有人員在火災中受傷。

資料顯示,ASML德國柏林工廠是一座零部件工廠,主要生產晶圓台、光罩吸盤和反射鏡模塊等DUV和EUV光刻機所需的零部件。

隨後,ASML在官網上表示,火災后DUV光刻機零部件的生產部分中斷,但很快生產已經恢復,預計他們將以一種不會影響DUV光刻機產量和收入計劃的方式進行補救。另外,柏林工廠火災還影響到了EUV光刻機一個零部件的生產區域,恢復計劃仍在進行中,他們已決定採取相關的措施,將對EUV光刻機客戶、產出計劃及服務的潛在影響降到最低。

在此次的財報會議上,溫彼得表示,柏林工廠火災在幾個小時內就已被撲滅,但仍然有重大損失。對於DUV光刻機,雖然有一些初始干擾,但其認為不會對2022年的產量產生任何影響。另外,EUV光刻機所需的晶圓鉗的生產受到了影響,這是一個非常複雜但非常重要的模塊。但通過我們的努力,相信能夠應對這種情況,我們認為不會看到對我們的EUV光刻機的2022年的產量生產大的影響。

2022年一季度營收約為33-35億歐元

ASML也公布2022年第一季財測,預估營收凈額約為33億到35億歐元之間,毛利率約49%。ASML預計一季度研發成本約為7.6億歐元,SG&a成本約為2.1億歐元。

ASML總裁兼CEO溫彼得表示:“第一季度凈銷售額指導值較低的原因是大量快速發貨,使得約20億歐元的預計收入將從第一季度轉移到隨後的季度。而去年四季度快速發貨推遲到今年一季度確認的金額約為3億歐元。”

“客戶對於光刻系統的需求超過了我們的生產能力所能滿足的範圍。終端市場的強勁需求,給我們的客戶帶來了增加晶圓產量的壓力。為了支持我們的客戶,我們為他們提供了高生產率升級解決方案,並縮短了我們工廠的生產周期以交付更多的光刻系統。減少交付周期時間的一種方法是,通過快速裝運過程,跳過我們工廠的一些測試。最終測試和正式驗收將在客戶現場進行,通常驗收程序需要幾周的時間(有時三到四周)。這雖然將導致這些發貨的收入延遲確認,直到客戶正式接受,但這確實為我們的客戶提供了更早獲得增加晶圓輸出能力的機會。”溫彼得進一步解釋到。

2022年營收預計增長20%

對於2022全年的業績預期,ASML也比較樂觀的認為會保持同比約20%左右的營收增長。

“2022年將是一個好年頭。與2021相比,我們預計增長率約為20%。這是一個很好的數字,因為你必須考慮到我們在2022年年底前也會有一些‘快速發貨’,導致部分收入轉移到2023年確認。我們目前認為2020年年底大約有六個EUV系統將被快速裝運發貨。如果將延遲確認的收入加上,那麼2022年的營收將同比增長25%左右。”溫彼得說到。

2022年將出貨55台EUV光刻機

對於2022年的EUV光刻機的出貨量,ASML預計將會達到55台。正如起前面提到到的,其中約有6台的收入將會推遲到2023年確認。另外,預計2023年EUV光刻機的出貨量將增長到60台。

在DUV光刻機業務方面,ASML認為,DUV光刻機的出貨2022年將會尤其強勁。因為它涉及了所有行業,包括存儲和邏輯芯片製造。特別是芯片的持續短缺導致了對DUV光刻機的強烈需求。

溫彼得預測,“與2021年相比,2022年我們的DUV光刻機業務可能會有大約20%的增長。”

另外,在安裝基礎選項方面,溫彼得也表示,2021年有一個非常強勁的增長,客戶對於安裝基礎選項的需求增加了很多,以增加更多的晶圓產量,ASML仍然預計2022年這塊仍將增長約10%。

(0)
上一篇 2022-01-20 20:56
下一篇 2022-01-20 20:56

相关推荐