10年迭代縮短為3年:ASML EUV光刻機大躍進

據semiwiki日前的報道,截至 2022 年第一季度,ASML 已出貨 136 個 EUV 系統,約曝光7000
萬個晶圓已曝光(如下圖)。台積電在早前的技術大會上則表示,在全球已經安裝的EUV光刻機系統中,台積電擁有了其中的
55%。三星的實際控制人李在鎔日前則拜訪了荷蘭總統,以尋找更多的EUV供應。

這再次說明,生產先進芯片必不可少的EUV成為了全球關注的目標。在日前的一些報道中,我們也看到了EUV光刻機的一些路線圖更新。

10年迭代縮短為3年:ASML EUV光刻機大躍進

0.33NA EUV的新進展

報道中表示, 0.33 NA的 EUV 系統是當今前沿光刻的主力生產系統。先進的邏輯和 DRAM都在使用0.33 NA 的系統大批量生產。下圖說明了邏輯和 DRAM(條)的EUV層數和每年使用EUV曝光的晶圓(面積)。

據ASML公司的Mike Lercel介紹 ,以典型的5nm工藝為例,2021 年的邏輯值是 10 層以上 EUV 層,到2023 年的3nm將會有20層的EUV層,而DRAM 目前的EUV層使用量約為 5 層。

Mike Lercel還談到了未來 DRAM 曝光的展望,他指出,不就之後DRAM上有大約會有 8 個關鍵層,最終其中一些層可能需要多重圖案化,使每個晶圓的 EUV 曝光達到 10 層。

10年迭代縮短為3年:ASML EUV光刻機大躍進

從報道中可以看到,新型號的EUV光刻機系統 NXE:3600D將能達到93%的可用性,這將讓其進一步接近DUV光刻機(95%的可用性)。

數據顯示,NXE:3600D 系統每小時可生產 160 個晶圓 (wph),速度為 30mJ/cm?,這比 NXE:3400C 高 18%。二正在開發的 NXE:3800E系統最初將以 30mJ/cm?的速度提供大過195wph的產能,並在吞吐量升級后達到220wph。

據介紹,NXE:3600E 將在像差、重疊和吞吐量方面進行漸進式光學改進。

10年迭代縮短為3年:ASML EUV光刻機大躍進

從semiwi的報道中我們可以看到,在0.33 NA的EUV光刻機領域,ASML 路線圖包括到 2025 年左右推出吞吐量約為220wph 的 NXE:4000F。按照EUV 執行副總裁Christophe Fouquet在參加高盛虛擬峰會的時候的說法,公司之所以把新設備稱它為 F,因為ASML也希望通過該設備能顯著提高生產力,這主要歸功於公司希望在該系統的功率上能夠更進一步。

至於產能的增加幅度,Christophe Fouquet表示,這可能會達到10%到20%,但他們依然還沒有最終確定。不過ASML目前計劃在 2025 年左右交付第一個NXE:4000F系統。

10年迭代縮短為3年:ASML EUV光刻機大躍進

semiwiki在文章中表示,對於 0.33 NA 系統,ASML 正致力於通過增加吞吐量和降低總能量來減少每次曝光所需的功耗,而雙重圖案甚至也將成為0.33NA光刻機需要發力的一個方面。

10年迭代縮短為3年:ASML EUV光刻機大躍進

如在之前的報道中指出,在發力0.33 NA光刻機的時候,ASML也在加快0.55 NA光刻機的進度。而繼英特爾表示將在2025年使用上High-NA光刻機之後,台積電在日前也將High-NA光刻機的應用時間放在2024年。這無疑是大大提升了先進EUV光刻機的應用時間。

因為從相關資料可以看到, 0.33 NA的常規 EUV 光刻機從原型機出貨(2010 年)到量產機出貨(2019 年)用了大約10 年時間。如果相關報道屬實,那就意味着 0.55 NA 的high NA EUV 光刻機從原型機出貨(2023年)到量產機出貨(2026 年)只需要短短的三年。

10年迭代縮短為3年:ASML EUV光刻機大躍進

0.55 NA EUV光刻機的目標

關於為什麼要提升EUV光刻機的NA,這在很多文章中也都談過。

歸根到底,高數值孔徑 EUV 系統的好處可以用一個詞來概括——分辨率。因為根據瑞利公式,將孔徑從0.33增加到 0.55,可以成比例地提高可實現的臨界尺寸——從0.33 NA 系統的 13nm提升到0.55 NA EUV 可能低至 8nm。

10年迭代縮短為3年:ASML EUV光刻機大躍進

在上個月舉辦的 SPIE 會議上,ASML 和蔡司報告說,雖然開發正在按計劃進行,但預計要到 2023 年才能安裝第一個0.55 NA EUV系統。如圖所示,ASML 的路線圖將第一個High NA 系統 (EXE:5000) 安裝在 ASML 工廠的實驗室中,並於 2023 年與 Imec 聯合運行,以進行初步評估。

EXE:5000 系統應在 2024 年交付給客戶,生產型 EXE:5200 系統應在 2025 年左右交付給客戶用於生產使用,

10年迭代縮短為3年:ASML EUV光刻機大躍進

在semiwiki的文章里他們談到,High-NA 的光學器件比 0.33 NA 的要大得多,需要獨特的設計方法。0.55 NA 系統將具有一個變形鏡頭系統,在一個方向上具有 4 倍的縮小率(與 0.33 NA 相同),在正交方向上具有 8 倍的縮小率。由於reticle的尺寸和 8 倍的縮小,可打印區域尺寸在掃描方向上減半至 16.5nm。

10年迭代縮短為3年:ASML EUV光刻機大躍進

為了更快地推動High NA EUV光刻機落地,ASML正在和很多研究機構和企業攜手,如imec就是他們一個很重要的合作火棒。

imec執行長Luc Van den hove表示,imec與ASML合作開發High-NA技術,ASML現在正在發展首台0.55 High-NA EUV微影掃描設備EXE:5000系統的原型機。他指出,與現有的EUV系統相比,High-NA EUV微影設備預計將能在減少曝光顯影次數的情況下,實現2奈米以下邏輯芯片的關鍵特徵圖案化。

而為了建立首台High-NA EUV原型系統,imec持續提升當前0.33 NA EUV微影技術的投影解析度,藉此預測光刻膠塗布薄化后的成像表現,以實現微縮化線寬、導線間距與接點的精密圖案轉移。

同時,imec攜手材料供應商一同展示新興光刻膠與塗底材料的測試結果,在High-NA製程中成功達到優異的成像品質。同時也提出新製程專用的顯影與蝕刻解決方案,以減少微影圖案的缺陷與隨機損壞。

從這個描述中我們可以看到,對於0.55 NA的光刻機,需要更新的不但是其光刻機系統。同時還需要在光掩模、光刻膠疊層和圖案轉移工藝等方面齊頭並進,才能讓新設備應用成為可能。

生態系統全力以赴

在晶圓廠中,芯片製造商需要利用光刻機和其他設備來生產芯片。使用在設計階段生成的文件格式,光掩模設施創建掩模。掩模是給定芯片設計的主模板,最終被運送到晶圓廠。從那裡,晶圓被插入到塗層機/顯影系統中。該系統將一種稱為光刻膠的光敏材料倒在晶圓上。

然後,將掩模和硅片插入光刻掃描儀中。在操作中,掃描儀產生光,光通過一組投影光學器件和系統中的掩模傳輸。光照射光刻膠,在硅片上形成圖案。

10年迭代縮短為3年:ASML EUV光刻機大躍進

從過往從DUV到EUV升級一樣,來到High-NA EUV上也需要新的光掩模類型。因為在更高的孔徑下,光子以更淺的角度撞擊掩模,相對於圖案尺寸投射更長的陰影。“黑暗”、完全被遮擋的區域和“明亮”、完全曝光的區域之間的邊界變為灰色,從而降低了圖像對比度。

據Semiengineering報道,有幾個選項可用於降低有效吸收器(effective absorber)高度,從而降低 3D 掩模效果的影響。第一個也是最簡單的方法是減小吸收材料的厚度。

Imec 高級圖案化項目總監 Kurt Ronse 在接受Semiengineering時表示,由High NA EUV 圖案化的第一層可能具有相對寬鬆的尺寸,約為 28nm。簡單地降低吸收器高度應該提供足夠的對比度。

然而,隨着功能不斷縮小,製造商將需要重新考慮吸收材料。Erdmann 指出,目前使用的鉭基吸收體(tantalum-based absorber)的光學特性相對較差。降低吸收體的折射率將改善劑量-尺寸特性,在恆定曝光劑量下實現更小的特徵。

同時,增加消光係數會減少三維效應。

然而,n和k不是掩模製造商可以簡單地在工藝刻度盤上設置的獨立參數,它們是材料屬性,因此彼此相關,並與吸收器的其他特性相關。為了採用新材料,掩模製造商必須能夠蝕刻它並修復缺陷。

目前用於鉭吸收體的反應性離子蝕刻是一些候選材料的一種選擇,但新的吸收體仍可能需要新的蝕刻工藝和新的化學物質。“因為接觸層和金屬層有不同的要求,他們可能也需要不同的吸收體。”Ronse說。

按照他所說,在這方面還沒有出現共識選擇,然而為了繼續進行工藝開發,掩模製造商也需要行業的額外指導。

Semiengineering進一步指出,光在穿過光掩模的吸收器圖案后,EUV 光子遇到硅片及其光刻膠層( photoresist blanket)。減小的焦深使得同時保持光刻膠疊層的頂部和硅片平面聚焦變得更加困難。

如果焦點錯誤使相鄰特徵靠得太近,則間隙無法清除並出現橋接缺陷。如果特徵之間的空間太大,則所得到的光刻膠特徵太薄並在其自身重量下塌陷。

因此降低光刻膠的厚度既可以提高焦點,又可以降低圖案崩塌的風險。但與此同時,也會帶來額外的挑戰。如在報道中披露,一種有希望的替代品是金屬氧化物光刻膠。

據報道,這種光刻膠使用入射光子來分解氧化錫納米團簇( tin-oxide nanoclusters)。氧化物簇(oxide clusters )可溶於顯影劑中,而金屬錫則不溶這些是負性光刻膠。曝光使材料不溶。

金屬氧化物本質上更耐蝕刻並吸收更多的 EUV 光子,從而使它們能夠以更薄的層實現可比的結果。但不幸的是,接觸孔,可能是高數值孔徑 EUV 曝光的第一個應用,然而它需要正的光刻膠。

此外,其他與 EUV 相關技術也在研究中,例如 pellicles。這是一個用於覆蓋掩膜,防止顆粒落在其上的產品。

相關報道指出,ASML 開發了新的 EUV pellicles。同時,Imec 的碳納米管pellicles在 ASML 的 EUV 掃描儀上的透射率達到了 97.7%。單壁和多壁pellicles都是有前途的。

按照Imec 技術人員的主要成員 Emily Gallagher 所說:“這兩種類型都表現良好,在 CD 均勻性、LWR 和耀斑方面,與無pellicle參考相比,成像差異極小。根據測量的這些pellicle的 EUV 吸收率在 95.3% 到 97.7% 之間,預計劑量會略有增加。”

寫在最後

在生態系統的共同努力下,ASML正在努力土推動High-NA光刻機成為可能。與此同時,他們還在加大EUV光刻機的產能提升,並與產業一起,推動這些先進的技術面向更多的應用。

根據ASML 在一季度財務會議上披露的數據,公司的目標是在 2022 年出貨 55 台 EUV系統,併到 2025 年實現(最多)90 台工具的計劃。ASML 同時還承認, 90 台可能超過 2025 年的實際需求,不過他們將其描述為為滿足2030 年 1 萬億美元半導體行業需求所做出的巨大努力。

Christophe Fouquet在高盛的會議上則強調,High NA EUV光刻機將首先在邏輯芯片上應用,隨後,DRAM乃至3D DRAM也會是High NA EUV光刻機關注的方向。

(0)
上一篇 2022-06-27 22:04
下一篇 2022-06-27 22:04

相关推荐