看得見的供需兩旺 下一代EUV光刻機即將爆發

隨着先進製程芯片上量(包括邏輯芯片和存儲器),芯片製造端的高技術含量規模也在不斷擴大,其中,最具代表性的就是EUV光刻機,市場對其需求在未來幾年將大幅增加。ASML預期今年EUV設備出貨量有望達到50台,這已經是一個非常可觀的數字了,即使如此,仍然供不應求。

看得見的供需兩旺 下一代EUV光刻機即將爆發

隨着邏輯芯片及DRAM製程的演進,單片晶圓EUV曝光光罩層數正在快速提升,其中先進邏輯製程晶圓2021年EUV曝光層數平均已超過10層,2023年將超過20層。

據ASML預估,月產能達4.5萬片的7nm~3nm製程12吋晶圓廠,單片晶圓EUV光罩層數介於10~20層,EUV光刻機安裝數量達9~18台;月產能達10萬片DRAM廠,單片晶圓EUV光罩層數介於1~6層,EUV光刻機安裝數量達2~9台。

這些將大量催生對EUV曝光設備的需求量,2025年之前的EUV光刻機需求將逐年創下新紀錄。

需求側不斷提升

目前,對EUV設備需求量最大的芯片廠商包括英特爾、台積電、三星和SK海力士,未來幾年,這四巨頭對EUV的需求將持續增加。

640.webp

顯然,先進製程芯片龍頭台積電對EUV光刻機的需求量最大,可以與英特爾做一下比較,到2023年,預計台積電共擁有133台EUV光刻機,而英特爾為20台。

目前,台積電占行業EUV設備安裝基礎和晶圓產量的一半,並計劃通過最先進的3nm和2nm晶圓廠擴大產能。

近幾年,台積電一直在提升EUV設備採購數量,今年下半年以來,其5nm產能全開,包括蘋果A15應用處理器及M1X/M2電腦處理器、聯發科及高通新款5G手機芯片、AMD的Zen 4架構電腦及服務器處理器等將陸續導入量產。

為了維持技術領先,台積電由5nm優化后的4nm將在明年進入量產,全新3nm也將在明年下半年導入量產,EUV需求量可見一斑。

自2018年以來,ASML增加了EUV光刻機的產量,生產了約75台,據說台積電購買了其中的60%。

三星方面,其晶圓代工和先進製程DRAM都需要EUV光刻機,而且數量逐年遞增,僅次於台積電。據統計,三星目前擁有25台EUV設備,數量約為台積電的一半。

為了獲得更多的EUV設備,2020年10月,三星領導人、副董事長李在鎔飛到ASML總部,商討穩定採購EUV設備,據說訂購了大約20台。一台的價格超過200億韓元(1.77 億美元)。

根據三星2019年4月宣布的 Vision 2030,該公司計劃總投資133萬億韓元,希望成為全球頂級晶圓代工企業。該公司每年花費10萬億韓元來開發芯片代工技術併購買必要的設備,特別是EUV光刻機,以追趕手台積電。

再來看一下英特爾,前些年,該公司認為EUV工藝不夠成熟,現在EUV光刻工藝已經量產幾年了,英特爾開始跟進,其新推出的Intel 4製程將全面導入EUV光刻機,之後的Intel 3、Intel 20A工藝會持續導入EUV。

2025年之後,該公司的製程工藝規劃到了Intel 18A,將使用第二代RibbonFET晶體管,EUV光刻機也會有一次重大升級,為此,英特爾表示將部署下一代High-NA EUV,有望率先獲得業界第一台High-NA EUV光刻機。

目前,該公司正與ASML密切合作,確保這一行業突破性技術取得成功,超越當前一代EUV。

NA表示數值孔徑,從目前的最高值為0.33,今後將提升到0.5,據悉,ASML的NXE:5000系列將實現這樣的性能,之前預計是在2023年問世,現在推遲到了2025年,單台售價預計將超過3億美元。

以上談的是邏輯芯片的生產,在存儲器方面,特別是DRAM,三星和 SK 海力士現在都在其DRAM生產中使用EUV設備,美光則表示計劃從2024年開始將EUV應用於其DRAM生產。

供給側跟進

隨着EUV光刻技術變得越來越重要,ASML的優勢也越發明顯。不過,光刻機供貨商除ASML之外,還有日本廠商尼康(Nikon)和佳能(Canon),這兩家在深紫外線(DUV,光源波長比EUV長)的光刻技術上能與ASML競爭,但ASML作為企業龍頭,在DUV光刻領域,也擁有62%的市場份額。

目前,雖然只有ASML一家能生產EUV光刻機,但由於其技術過於複雜,也需要與業內的半導體設備廠商和科研機構合作,才能生產出未來需要的更先進EUV設備。

例如,不久前,東京電子(TEL)宣布,向imec-ASML聯合高 NA EUV 研究實驗室推出其領先的塗布機,該設備將與 ASML 的下一代高NA EUV光刻系統NXE:5000 集成。

與傳統的 EUV 光刻相比,高 NA EUV 光刻有望提供更先進的圖案縮放解決方案。被引入聯合高 NA 實驗室的塗布機/顯影劑將具有先進的功能,不僅與廣泛使用的化學放大抗蝕劑和底層兼容,而且還與旋塗含金屬抗蝕劑兼容。旋塗含金屬抗蝕劑已表現出高分辨率和高抗蝕刻性,有望實現更精細的圖案化。

然而,含金屬的抗蝕劑還需要精密的圖案尺寸控制以及芯片背面和斜面的金屬污染控制。為了應對這些挑戰,安裝在聯合高 NA 實驗室的塗布機/顯影劑配備了能夠處理含金屬抗蝕劑的前沿工藝模塊。

結合新的工藝模塊,TEL Coater/Developer 的單個單元可以在線處理多種材料,包括化學放大抗蝕劑、含金屬抗蝕劑和底層。這將實現靈活的晶圓廠運營。

今年下半年,ASML推出了最新0.33數值孔徑EUV光刻機NXE:3600D,每小時曝光產量(throughput)預估可提升至160片,2023年再推出NXE:3800E可將每小時曝光產量提升到195~220片。

至於0.55高數值孔徑的下一代EUV技術預計2025年後進入量產,支援1.5nm及1nm邏輯製程,以及最先進的DRAM製程。

在今年第二季度的電話會議上,ASML 首席執行官 Peter Wennink 表示,該公司計劃今年生產約40台EUV光刻機,並將在2022 年擴大到55台,2023 年將產量增加到60台。

要生產EUV設備,ASML需要從德國蔡司公司採購系統所需的鏡頭,然而,它每年可以採購的鏡頭數量有限,這導致系統的交貨時間很長。對此,Peter Wennink表示,該公司的EUV設備交付周期也將從之前的18至24個月縮短至12至 18個月。

Wennink 表示,其三大 DRAM 客戶都計劃使用 EUV 進行量產。到 2021 年,這些公司預計將總共花費 12 億歐元來購買 EUV 系統。他補充說,未來向這些公司的 EUV 出貨量將增加。

ASML已經開始生產其NXE 3600D新型EUV設備,與之前的3400C相比,該系統的生產率提高了15%到20%,覆蓋率提高了30%。

今年第二季度,ASML的銷售額為40億歐元,凈利潤為10億歐元,比2020年第二季度分別增長20%和38%。該公司的訂單與上一季度相比增長了 75%,達到 83 億歐元,其中 49 億歐元用於EUV設備。

韓國佔ASML銷售額的39%,其次是中國台灣的35%。該公司預計2021年的銷售額將比 2020年增長35%。

結語

隨着芯片製程工藝的提升,台積電和三星已採購大量EUV光刻機,存儲芯片製造商SK海力士也已開始採用EUV光刻機,未來5年也將大幅增加採購量,美光科技也計劃在2024年開始使用EUV設備。

四大芯片廠大量採購EUV設備,意味這該類光刻機的應用比例在未來幾年將大幅提升,並逐漸佔據主導地位。ASML的一名高管在一次EUV光刻機生態系統會議上表示,預計到2025年,全球晶圓廠運行的光刻機中,EUV設備所佔比例將超過60%。

(0)
上一篇 2021-12-26 06:30
下一篇 2021-12-26 08:32

相关推荐