Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

過去幾十年間,英特爾憑藉摩爾定律和先進半導體製程持續引領行業的發展。但摩爾定律的放緩以及在10納米製程上的一再延期讓許多人對英特爾的領導力失去了信心。英特爾新任CEO Pat Gelsinger也說,英特爾需要加速創新的節奏。

不過,英特爾在先進製程上不被看好還有一個關鍵原因就是節點命名。因此,繼今年三月宣布IDM 2.0計劃之後,英特爾今天又公布了最新的半導體製程和先進封裝的路線圖。英特爾計劃在2024年用Intel 20A製程將半導體行業帶入埃米時代(1納米=10埃米)。

“對於未來十年走向超越1納米節點的創新,英特爾有着一條清晰的路徑。在窮盡元素周期表之前,摩爾定律都不會失效,英特爾將持續利用硅的神奇力量不斷推進創新。”Pat Gelsinger表示。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

Pat信心滿滿的表示英特爾回來了。

他認為,英特爾在先進製程和封裝技術上的創新,將使其在2024年在製程性能水平上與同行齊頭並進,在2025年再度領先業界。

英特爾在今天也宣布AWS將成為第一個使用英特爾代工服務(IFS)封裝解決方案的客戶。英特爾也將與高通合作,共同開啟半導體的埃米時代。同時,英特爾今天討論的許多創新技術也會向IFS客戶提供。

英特爾全新節點命名體系,未來五年節點每年更新

芯片工藝製程最初是微米級別,其命名方式與晶體管的柵極長度相對應,後來晶體管越來越小,柵極長度越來越微縮,芯片工藝製程實現從微米級到納米級別的飛躍,這時命名法則依然同柵極長度一一對應。

到了1997年,由於應變硅(strained silicon)等新技術的出現,晶體管柵極長度的微縮不再是提高芯片性能最重要的指標,加上芯片市場化的日益成熟,包括英特爾在內的許多芯片公司的工藝節點命名方法開始不再與實際的晶體管的柵極長度相匹配,而是使用各不相同的製程節點命名和編號規則,節點命名規則“百花齊放”。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

如今,整個行業,包括英特爾在內,使用着各不相同的製程節點命名和編號方案,這些多樣的方案既不再指代任何具體的度量方法,也無法全面展現該如何實現能效和性能的最佳平衡。

“今天,英特爾想要更新自己的命名體系,以創建一個清晰、一致和有意義的框架,來幫助我們的客戶對整個行業的製程節點演進有一個更準確認知,進而做出更明智的決策。”英特爾CEO Pat Gelsinge說道。

英特爾命名體系基於客戶看中的關鍵技術參數而提出,即性能、功率和面積(PPA),且將從下一代節點開始生效。

目前,英特爾正在生產10納米SuperFin節點的芯片,10nm晶圓的數量已經遠超同期生產的14nm晶圓的數量,這一代產品的命名將不會改變。

原本英特爾10納米SuperFin節點的下一代被稱之為Enhaned SuperFin,現在更名為Intel 7,Intel 7之後是Intel 4和Intel 3,Intel 3的下一代,將被稱為Intel 20A,摩爾定律持續有效,半導體進入原子水平之上的時代——埃米時代。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

2021年推出Intel 7系列產品

同10納米SuperFin相比,Intel 7每瓦性能將提升大約10%-15%,與一個完整製程節點的性能增益相當。

英特爾的全球技術開發團隊負責人Ann Kelleher博士介紹,Intel 7的性能提升主要源於幾項技術創新:通過採用更高應變性能和更低電阻的材料讓電子更快地通過通道,以新型高密度蝕刻技術和流線型結構實現更好的能耗控制,用更高的金屬堆棧改進電能傳輸,實現布線優化。

Intel 7的產品——Alder Lake客戶端系列將於2021年推出,隨後是面向數據中心的Sapphire Rapids,將於2022年第一季度投產。此外,Ponte Vecchio GPU也將採用Intel 7工藝,於2022年初上市,其中集成了基片(base tiles)和Rambo緩存晶片(Rambo cache tiles)。

2022年投產首個完全採用EUV的Intel 4

Intel 4是英特爾首個完全採用極紫外光刻(EUV)技術的製程節點。EUV採用高度複雜的透鏡和反射鏡光學系統,將13.5納米波長的光對焦,從而在硅片上刻印極微小的圖樣。相較於之前使用波長為193納米的光源的技術,這是巨大的進步。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

雷鋒網了解到,面向客戶端的Meteor Lake和面向數據中心的Granite Rapids都見將基於Intel 4 ,於2022年下半年投產,2023年出貨。

在芯片代工領域,台積電能夠保持其領先地位,最先研發並量產出5納米工藝節點芯片,重要原因之一就是採用了EUV光刻技術,且與ASML保持着良好的合作關係。

Ann Kelleher表示,將EUV投入量產,需要構建一個以該設備為中心的完整供應鏈生態——光刻膠、掩模生成、蒙版加附、計量檢測。英特爾努力構建EUV生態,也有一些優勢,比如其子公司IMS作為EUV多波束掩模刻寫儀的全球主要供應商,能夠為EUV光刻技術提供掩模必備工具。

EUV也將成為英特爾實現再次實現製程領先的關鍵。英特爾稱其正在同ASML定義、構建和部署下一代EUV工具,高數值孔徑EUV(High-NA EUV),集成更高精度的透鏡和反射鏡,刻印出更微小的圖樣,有望率先獲得業界第一台High-NA EUV光刻機,並計劃在2025年成為首家在生產中實際採用High-NA EUV的芯片製造商。

“這些進展也取決於我們和業界其他關鍵參與者的密切合作。與包括應用材料(Applied Materials)、泛林集團(LAM Research)和東電電子(TEL)在內的設備供應商的合作。”Ann Kelleher表示。

一位微電子研究員表示,台積電現在用的EUV光刻機應該是0.33數值孔徑,到了下一個工藝節點,比如3納米,就需要使用多重曝光,但如果使用High-NA EUV,即0.55na,就只需要一次曝光。目前ASML正在研發High-NA EUV光刻機。

2023年下半年開始生產Intel 3產品

較之Intel 4,Intel 3將在晶體管每瓦性能上實現約18%的提升,在功耗和面積上也會有所改進。

這主要是因為Intel 3增加了一個比Intel 4更高密度、更高性能的庫,提高了內在驅動電流,以完全優化FinFET晶體管,通過減少通孔電阻,優化了互連金屬堆棧,與Intel 4相比,在更多工序中增加了EUV的使用。

2024年用Intel 20A開啟半導體埃米時代

Intel 3之後的下一個節點是Intel 20A,這將是英特爾能否實現再次引領半導體製造的關鍵節點。Intel20A有將採用全新的晶體管架構RibbonFET,採用創新技術PowerVia。英特爾宣布,高通將會在Intel 20A製程上與其合作。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

RibbonFET 是英特爾對Gate All Around晶體管的實現,它將成為英特爾自 2011 年率先推出 FinFET 以來的首個全新晶體管架構。Gate All Around已經在業界被研發多年,通過堆疊多個通道,即納米帶,可以實現與多個鰭片相同的驅動電流,但佔用的空間更小。

“我們預計RibbonFET晶體管帶來的性能和密度提升,將超過如今的FinFET晶體管。”負責領導這一技術研究的Sanjay Natarajan表示。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

PowerVia 的創新點在於,傳統的互連技術是在晶體管層的頂部進行互聯,PowerVia則將電源線置於晶體管下面,也就是晶體管的背面,可以騰出更多的資源用於優化信號布線並減少時延。

英特爾研究院副總裁、英特爾中國研究院院長宋繼強告訴雷鋒網,PowerVia是業界都在研究的技術,主要在設計和工具方面面臨著挑戰。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

“事實上,我們希望在更早的製程節點上測試PowerVia,以確保這項開創性的技術完全準備就緒,2024年在Intel 20A中全面採用。”Sanjay說。

物理意義上,埃米是晶體學、原子物理、超顯微結構等常用的長度單位,是比納米更小的單位,10埃米等於1納米。Intel 20A 標誌着半導體埃米時代的啟幕,或將成為製程技術的又一個分水嶺。

在更遠的未來,Intel 20A下一代工藝Intel 18A也已在研發中,預計將在2025年初推出,將會對RibbonFET進行改進,實現晶體管性能的又一次飛躍。“但是要過一段時間大家才能獲得更多的相關信息,因為可預測性對客戶至關重要,在整個開發過程中我們始終專註於進度的可預測性。”Sanjay補充表示。

需要指出的是,英特爾今天宣布的新製程技術,全部都是在美國本土開發,將會在英特爾美國俄勒岡州的晶圓廠開始投入大規模生產。

對於英特爾更新節點的命名,賽迪顧問高級分析師呂芃浩持積極態度,他對雷鋒網表示:“工藝節點都是各個企業自主命名的,本身技術節點命名上有差距。在同一技術節點,英特爾其實是相對領先的,比如英特爾的10nm工藝跟台積電和三星的7nm基本相當的。採用新的命名可以跟其它代工企業的技術節點保持一致,避免這個命名不同帶來的技術落後的假象。按照新的技術路線,英特爾在節點上就是一致了,很快達到相同水平”

一位資深半導體專家也非常看好英特爾最新的路線圖。他表示:“英特爾在先進製程方面的能力非常強,最近幾年反而落後了,這次的發布應該是解決了某些瓶頸,我對英特爾在先進製程方面實現反超有信心。”

“按照最新的命名,Intel 7 已經開始量產,Intel 4 和Intel 3應該也是能夠按照路線圖實現的。至於後續工藝將採用新的結構,還有待觀察。”呂芃浩同時指出。

2.5D封裝下一代研發進行時,3D Foveros新技術2023年量產

隨着工藝節點的演進,先進封裝所扮演的角色也越來越重要,通過縱向擴展和堆疊晶片實現摩爾定律效應,正在實施IDM 2.0的英特爾看到了這一點,同時更新了先進封裝的路線圖。

2.5D封裝解決方案EMIB下一代正研發

2017年,英特爾開始出貨基於其2.5D封裝解決方案EMIB的產品,Sapphire Rapids,成為業界首個提供幾乎與單片設計相同性能的,但整合了兩個光罩尺寸的器件(dual-reticle-sized device)。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

與標準封裝互連相比,EMIB具有2倍的帶寬密度和4倍的能效提升。目前,英特爾正在研發下一代EMIB技術,將從現有的55微米凸點間距縮短至45微米,並將在第三代中進一步縮短至40微米。

“我們還將在92×92毫米的封裝上使用45微米間距的EMIB,這將是世界上最大的球柵陣列封裝。”Ann Kelleher表示。

3D封裝技術Foveros升級更新

在Foveros方面,Meteor Lake是在客戶端產品中實現Foveros技術的第二代部署,採用Foveros技術的Meteor Lake有幾個特點,具有 36微米的凸點間距,不同晶片可基於多個製程節點,熱設計功率範圍為 5-125W。

今年三月份,英特爾已經透露Ponte Vecchio GPU將是首個同時採用EMIB和第二代Foveros技術的產品。

在去年的架構日上,英特爾簡單介紹了基於Foveros兩項全新的封裝技術,Foveros Omni與Foveros Direct,今天英特爾更加詳細地介紹了這兩項新技術。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

Foveros Omni採用了硅通孔技術和封裝銅柱通孔技術的組合,在密集的裸片到裸片的互連中來平衡高速信號和電能傳輸 為模塊化設計和裸片到裸片的互連,裸片到裸片互連從36微米的微凸點間距縮減至25微米,凸點密度翻了四倍,達到1600 IO/mm2。它允許將多個分解的頂片與多個基片集成,頂片和基片都可以基於不同晶圓製程節點混合搭配,從而使得設計更加靈活。

Intel火力全開:2024開啟埃米時代 2025或用下一代光刻機“反超台積電”

Foveros Direct 是對 Foveros Omni 的補充,實現了向直接銅對銅鍵合的轉變,它可以實現低電阻互連,並使得從晶圓製成到封裝開始,兩者之間的界限不再那麼截然,同時這一封裝技術也實現了10微米以下的凸點間距,使3D堆疊的互連密度提高了一個數量級,為功能性裸片分區提出了新的概念,這在以前是無法實現的。

Foveros Direct 與 Foveros Omni ,預計將於 2023年用到量產的產品中。

“Foveros Direct這個名字源於向無焊料直接銅對銅鍵合的轉變,它可以實現低電阻互連。這項技術將改變異構集成,真正將封裝技術提升至全新水平。從晶圓製成到封裝開始,兩者之間的界限正變得不那麼截然。”英特爾推動封裝創新路線圖的負責人Babak Sabi如此表示。

宋繼強強調,除了能夠實現互連擴展的組裝技術外,英特爾還擁有業界領先的先進分揀技術,能夠在進行基於EMIB和Foveros的封裝之前,對“已知優質晶片”進行更精確的識別。這些創新有助於英特爾優化產品性能和良率——這是實現經濟型製造的關鍵。

Ann Kelleher也透露了英特爾2025年之後製程和封裝發展方向,程技術創新方面,2025年後的未來節點將利用堆疊NMOS/PMOS,把Gate All Around提升至全新水平。先進封裝方面將在未來幾代技術中從電子封裝過渡到集成硅光子學的光學封裝。

代工服務“開業大吉”,招攬大客戶AWS與高通

今年3月,Pat Gelsinger對外宣布英特爾將啟動升級IDM 2.0戰略,除了設計製造自家產品以外,還將根據需求混合使用內部和外部代工,讓客戶使用其製造技術來製造芯片,為此,英特爾還成立了新的業務部門,IFS (Intel Foundry Services)。

“新”包括系列製程和封裝技術,支持x86、ARM和RISC-V生態系統的生產,支持行業標準設計工具(EDA工具)和工作流。客戶可以使用行業標準工藝開發套件(PDK)來設計芯片,並交給英特爾製造。

IDM2.0的規劃與暢想是令人振奮的,但為內部製造芯片與服務產業有着截然不同的商業邏輯和思路,誰會選擇英特爾的代工業務成為業界都好奇的問題。

在今天的會議上,這一問題有了答案。AWS、高通兩家公司已經展開同英特爾代工方面的合作,率先成為英特爾代工服務的客戶。其中,AWS同英特爾在先進封裝方面展開合作,高通則是在Intel 20A上與其合作。

“我很高興地宣布英特爾已經與AWS簽約,它們將成為我們的第一個使用英特爾代工服務(IFS)封裝解決方案的客戶。此外,我也非常高興英特爾與高通合作,他們將採用Intel 20A製程工藝技術。”Pat Gelsinger興奮地表示。

用Pat Gelsinger的話來講,英特爾的代工業務正揚帆起航。

不過,一位在芯片行業有20多年從業經驗的人士曾向雷鋒網表示,英特爾從自用工廠轉為代工業務主要面臨四個方面的挑戰:

  • 信任度問題。代工廠可以看見芯片公司的設計、出貨量、非常精細的出貨節奏,甚至是超級機密的數字,透露給任何一家大半導體公司都很危險。這也是台積電只做代工模式的原因,客戶信任度高。

  • 工具交付問題。服務公司內部的團隊和工具可以一邊做一邊設計打磨,而服務產業與客戶配合度不如服務內部順暢。英特爾的後端工具涉及專利技術,開放與不開放,對客戶來說都是問題。

  • IP。代工廠需要提供很多IP給設計公司,英特爾的IP價格昂貴,會影響代工業務收入。

  • 供貨優先級。當英特爾自己的芯片與同類型高端芯片爭奪產能問題時,供貨緊張的情況下,英特爾是否會故意不給客戶供貨,以及讓自家的芯片產品獲利。

另一位芯片設計行業資深人士同樣不太看好英特爾做代工,認為IDM與代工存在天然衝突,如果代工成能夠轉變模式獨立運營,可能會是更好的選擇。“先進製程投入太大,英特爾無法自己消化,需要找到一些Fabless一起抗,這也是TSMC的發展路徑,如果往後幾代沒有人和英特爾共同承擔,英特爾可能會遇到大問題。”

“但如果代工服務向中國客戶開放,是英特爾不錯的選擇。”這位資深人士補充道。

寫在後面

56年前,英特爾創始人之一戈登·摩爾率先提出摩爾定律,為整個半導體行業定下發展方向,各家芯片公司以此為中心進行一場又一場的激烈角逐。

隨着摩爾定律逼近1納米,業界又出現了許多關於超越摩爾定律和延續摩爾定律的探討,如今英特爾提出埃米時代,曾經一度以為就快走到盡頭的摩爾定律,似乎又有了新的生機。

(0)
上一篇 2021-07-27 17:13
下一篇 2021-07-27 17:13

相关推荐